Computing Reviews
Today's Issue Hot Topics Search Browse Recommended My Account Log In
Review Help
Search
Low-power VLSI circuits and systems
Pal A., Springer Publishing Company, Incorporated, New York, NY, 2014. 389 pp. Type: Book (978-8-132219-36-1)
Date Reviewed: Sep 1 2015

Designing low-power integrated circuits has become very important, especially for chips that are to be used in handheld or battery-powered electronic systems. This book provides readers not only with succinct information for designing low-power very large-scale integration (VLSI) circuits and systems, but also with fundamental VLSI design knowledge. It is intended to be used as a textbook for either an undergraduate or graduate course, although researchers and practicing engineers may also find it helpful.

Most of design-related topics covered in the book are relevant to digital circuits using metal-oxide-semiconductor (MOS) technologies, although some topics are relevant to analog circuits. Readers should have knowledge of digital/logic circuit design and electronic circuit design in order to greatly benefit from the book. Possibly due to that fact that the book evolved from lecture notes, the chapters are short. Specifically, the book has 12 chapters in total, and each contains less than 50 pages. In general, the book covers many important topics, but does not provide detailed information for many of them. Fortunately, references provided at the end of each chapter are excellent resources for further study.

Chapters 1 through 5 mainly introduce fundamental knowledge of VLSI design; many topics discussed here can be found in introductory VLSI design books. Specifically, chapter 1 provides a brief introduction to the concept of low-power VLSI circuits and systems. In chapter 2, MOS fabrication technologies and fabrication steps are presented. Also, the latch-up problem, short-channel effects, and emerging process technologies, such as Hi-K dielectric, silicon on insulator (SOI), and FinFET, are introduced. Chapter 3 presents MOS transistors and their electrical characteristics. Chapter 4 discusses MOS inverters, which include complementary MOS (CMOS) inverters as well as different types of nMOS inverters. In addition, electrical characteristics of inverters, ring oscillators, super buffers, BiCMOS inverters, and buffer sizing methods are introduced. Chapter 5 covers MOS combinational circuits. In particular, pass-transistor logic circuits, static CMOS circuits, dynamic CMOS circuits, domino CMOS circuits, and NORA (no-race) logic circuits are discussed. Additionally, the chapter presents the charge leakage problem, the charge sharing problem, and the clock skew problem. In the chapter, moreover, transistor-level circuits realized by using different logic styles (such as static CMOS, dynamic CMOS, and pass-transistor logic) are provided and compared.

Chapters 6 through 12 are dedicated to power-related topics, with an emphasis on low-power circuits and systems design techniques. Specifically, chapter 6 introduces sources of power dissipation, which can be classified into static and dynamic power dissipation. Many topics presented in the chapter can also be found in major VLSI design books. Chapter 7 discusses supply voltage scaling, which is one of the most effective ways to reduce power dissipation. Supply voltage scaling can be divided into four categories: static voltage scaling (SVS), multilevel voltage scaling (MVS), dynamic voltage and frequency scaling (DVFS), and adaptive voltage scaling (AVS); all of these categories are clearly described in the book. Additionally, the chapter explains why reducing transistor feature sizes can reduce power dissipation.

Chapter 8 presents switched capacitance minimization, which is relevant to the reduction of dynamic power. In particular, techniques for reducing switching activities of transistors, clock gating, and hardware-software co-design approaches are described. Chapter 9 discusses leakage power minimization, which is relevant to the reduction of static power. Techniques including power gating, variable-threshold-voltage CMOS (VTCMOS), multiple-threshold-voltage CMOS (MTCMOS), dual-threshold-voltage CMOS (DTCMOS) are presented. In chapter 10, Adiabatic logic circuits, which have gained popularity in research, are described. Chapter 11 discusses battery-aware systems. Note that the effects of batteries, which should be considered in the design of a battery-powered system, are often overlooked by VLSI design books; it is nice to see that this book clearly discusses relevant topics. Finally, in chapter 12, software techniques used for reducing power dissipation are presented.

The book, however, has drawbacks if it is to be used as a textbook. First of all, a number of statements/descriptions are not clear. For instance, Figures 5.15 (a) and (b) are misleading because they imply that an n-input CMOS NAND gate and an inverter are functionally equivalent. Second, some parts of the book are not well structured. For example, Section 5.3.3.2, “CMOS NOR Gates,” discusses the CMOS realization of NOR gates and their inversion points, and Section 5.3.5, “CMOS NOR Gate,” mainly presents transition times for the gates. These two sections describe different aspects of NOR gates, but they have very similar section titles and are located only two pages apart. Additionally, the book has many errors that can hamper readers’ understanding. For instance, in Figure 2.6(a), the NPN transistor Q2 is not drawn correctly, as the emitter and the collector should be swapped. As another example, the circuits of integrated clock gating (ICG) cells shown in Figure 8.16-8.18 are not correct, since latches should be used instead of flip-flops. Moreover, readers will easily find many typographical errors.

The book indeed covers many important topics that are relevant to designing low-power VLSI circuits and systems. Due to the aforementioned drawbacks, however, it may only be suitable for use as a textbook under the guidance of an instructor. Nevertheless, it is definitely an excellent resource for researchers and practicing engineers.

Reviewer:  I-Lun Tseng Review #: CR143735 (1511-0918)
Bookmark and Share
  Reviewer Selected
 
 
VLSI (Very Large Scale Integration) (B.7.1 ... )
 
 
Design Aids (B.7.2 )
 
 
Performance Analysis And Design Aids (B.8.2 )
 
Would you recommend this review?
yes
no
Other reviews under "VLSI (Very Large Scale Integration)": Date
Area-time optimal VLSI integer multiplier with minimum computation time
Mehlhorn K., Preparata F. Information and Control 58(1-3): 137-156, 1984. Type: Article
Jun 1 1985
A rapid turnaround design of a high speed VLSI search processor
Matoba T., Lee K., Herman G., W. H. J. Integration, the VLSI Journal 10(3): 319-337, 1991. Type: Article
Mar 1 1992
An efficient heuristic for standard-cell placement
Kappen H. Integration, the VLSI Journal 10(3): 251-269, 1991. Type: Article
Jul 1 1992
more...

E-Mail This Printer-Friendly
Send Your Comments
Contact Us
Reproduction in whole or in part without permission is prohibited.   Copyright 1999-2024 ThinkLoud®
Terms of Use
| Privacy Policy