Computing Reviews
Today's Issue Hot Topics Search Browse Recommended My Account Log In
Review Help
Search
  Area-energy tradeoffs of logic wear-leveling for BTI-induced aging
Ashraf R., Khoshavi N., Alzahrani A., DeMara R., Kiamehr S., Tahoori M.  CF 2016 (Proceedings of the ACM International Conference on Computing Frontiers, Como, Italy, May 16-19, 2016)37-44,2016.Type:Proceedings
 
     
     
 
   
To:  
Your Colleague's E-mail:
   
From:  
Your E-mail:
   
Subject: Reviews: Area-energy tradeoffs of logic wear-leveling for BTI-induced aging
   
Message Body:
 
     
 
 
Send Your Comments
Contact Us
Reproduction in whole or in part without permission is prohibited.   Copyright 1999-2024 ThinkLoud®
Terms of Use
| Privacy Policy