Computing Reviews
Today's Issue Hot Topics Search Browse Recommended My Account Log In
Review Help
Search
Cache optimization for embedded processor cores: an analytical approach
Ghosh A., Givargis T. ACM Transactions on Design Automation of Electronic Systems9 (4):419-440,2004.Type:Article
Date Reviewed: Dec 17 2004

This paper presents the authors’ insights into how customized cache subsystems can improve the performance of applications employing embedded microprocessor cores.

Part 1 of this six-part paper introduces the subject, and refers to previous works. Related work is covered next, followed by the authors’ own technical “exact algorithmic approach” to computing parameters. They then offer an exposition of experimental results, and conclude with an outline of future work and remarks. An extensive set of references, mostly from the late 1990s, provides a source for further reading.

From the authors’ point of view, previous work, based on simulations and heuristics, is time consuming and incomplete. The final designs are an attempt, after several iterations, to discover an optimized instantiation. While these techniques do produce a serviceable design, the total design space is too large for these solutions to fully explore, as the authors point out. Hence, their proposed solution approaches the problem algorithmically. Since most embedded systems are relatively small, the algorithm is only limited by the capacity of the host computing engine and its memory size. The paper describes the approach in detail; it is divided into pre-processing, processing, and post-processing phases.

The authors validated their approach on 16 embedded system applications, using a Pentium IV processor, running at 2.8 gigahertz, with 512 megabytes of memory. Several tables present results, and support the authors’ contention of improved results, when compared with existing alternative methods. The authors expect to extend their work to include more design parameters, multilevel caches, and bus architecture effects.

As embedded systems proliferate, and as users demand better performance, techniques such as the ones described here will take on increased significance.

Reviewer:  J. S. Edwards Review #: CR130543 (0505-0568)
Bookmark and Share
  Featured Reviewer  
 
Cache Memories (B.3.2 ... )
 
 
Real-Time And Embedded Systems (C.3 ... )
 
Would you recommend this review?
yes
no
Other reviews under "Cache Memories": Date
The effects of processor architecture on instruction memory traffic
Mitchell C., Flynn M. ACM Transactions on Computer Systems 8(3): 230-250, 2000. Type: Article
Oct 1 1991
Efficient sparse matrix factorization on high performance workstations--exploiting the memory hierarchy
Rothberg E., Gupta A. ACM Transactions on Mathematical Software 17(3): 313-334, 1991. Type: Article
Dec 1 1992
Cache behavior of combinator graph reduction
Philip J. J. (ed), Lee P. (ed), Siewiorek D. (ed) ACM Transactions on Programming Languages and Systems 14(2): 265-297, 1992. Type: Article
Feb 1 1993
more...

E-Mail This Printer-Friendly
Send Your Comments
Contact Us
Reproduction in whole or in part without permission is prohibited.   Copyright 1999-2024 ThinkLoud®
Terms of Use
| Privacy Policy